Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a. 2008-2009 1 1 Progetto di sistemi elettronici LA - esercitazioni.

Slides:



Advertisements
Presentazioni simili
Statistica Descrittiva Con STATA 7
Advertisements

Midrange Modernization Conference 1 Scenari evolutivi per le soluzioni basate su AS/400 Walter Poloni Direttore Developer & Platform Evangelism Microsoft.
1 Teaching Cloud Computing and Windows Azure in Academia Domenico Talia UNIVERSITA DELLA CALABRIA & ICAR-CNR Italy Faculty Days 2010.
1 Processi e Thread Processi Thread Meccanismi di comunicazione fra processi (IPC) Problemi classici di IPC Scheduling Processi e thread in Unix Processi.
Progetto di circuiti su FPGA
Sequential Statements. – Il VHDL simula lo svolgersi in parallelo di varie operazioni – Loggetto fondamentale e il PROCESS – Un PROCESS contiene una serie.
Luca Pizzamiglio Dipartimento di Elettronica ed Informazione Corso di Laurea in Ingegneria Informatica 17 Giugno 2003 Stimatori d'area per descrizioni.
prompt> java SumAverage
HDM Information Design notation v.4. HDM Information Design.
Costruzione di Interfacce Lezione 10 Dal Java al C++ parte 1
Sviluppo di Software Sicuro - S 3 Condizioni di verifica in pratica Corso di Laurea Magistrale in Sicurezza Informatica: Infrastrutture e Applicazioni.
Laboratorio di elettronica digitale Lezione 3
ELETTRONICA DIGITALE A.A prof. Alessandro Paccagnella DEI, Università di Padova
Citrix Presentation Server Client In questo Tutorial descriviamo come accedere al ENEA Grid dal proprio computer.
2000 Prentice Hall, Inc. All rights reserved. 1 Capitolo 3 - Functions Outline 3.1Introduction 3.2Program Components in C++ 3.3Math Library Functions 3.4Functions.
2000 Prentice Hall, Inc. All rights reserved. 1 Capitolo 6: Classi e astrazione dati 1.Introduzione 2.Definizione delle strutture 3.Accedere ai membri.
Sottoprogrammi e Unità di Compilazione Nicola Fanizzi Laboratorio - Corso di Programmazione (B) C.d.L. in Informatica DIB - Università degli Studi di Bari.
FONDAMENTI DI INFORMATICA III WfMC-1. FONDAMENTI DI INFORMATICA III WfMC-2 WFMC Cose WfMC Workflow Management Coalition (WfMC), Brussels, è unorganizzazione.
ATE / 31 Lezione 3 i sistemi automatici di misurazione - gli ATE.
National Project – on going results Potenza 7/10 November 06 IT-G2-SIC-066 – Social Enterprise and Local Development.
Compito desame del Svolgimento della Sezione 5: CONTROLLORI Esempio preparato da Michele MICCIO.
Componenti dell’architettura Oracle
Voci di spesa e documentazione di supporto Daniela Michelino Rapp. TN MED – Commissione Mista.
Progetto Rete Sequenziale Sincrona
1 Premessa In questo progetto sono stati implementati tutti gli esercizi di Complementi di esercizi di Reti logiche. Elenco degli esercizi svolti: 1.Registro.
Una rete sequenziale asincrona e' caratterizzata da due segnali di ingresso X1, X2 (i quali non cambiano mai contemporaneamente) e da un segnale di uscita.
VHDL come strumento di progetto di circuiti digitali Antonio Deledda Corso di Elettronica dei Sistemi Digitali LS AA
ARCES University of Bologna Programmazione di un processore riconfigurabile Mario Toma.
VHDL come strumento di progetto di circuiti digitali
Elementi di programmazione ad oggetti a. a. 2009/2010 Corso di Laurea Magistrale in Ingegneria Elettronica Docente: Mauro Mazzieri, Dipartimento di Ingegneria.
Il Trigger di AMS-02 Stato dellarte. Trigger: Stato dellarte I livelli di trigger dellesperimento: -Fast trigger:FTC (particella carica attraversa il.
Progetto Rover – Fase 1. Obiettivo e Vincoli Obiettivo è creare applicazione capace di controllare in remoto un Rover, dotato di torretta sensoriale e.
Università degli studi di Modena e Reggio Emilia Facoltà di Ingegneria di Modena Corso di Laurea Specialistica in Ingegneria Informatica Analisi e valutazione.
Presentazione Finale Team 2 1. Decomposizione in sottosistemi 2.
Un esempio: Registrazione e lettura di dati in un file
ISTRUZIONI PER L’USO.
7 cose da sapere su Volume Activation con Windows 7 © 2009 Microsoft Corporation. Tutti i diritti riservati. Come professionista IT, devi sapere che l'attivazione.
Corso Office Automation e Legislazione per P.A. Ed.1 A cura del Dott. Domenico Giuseppe Bozza Presentazione moduli personali En.A.P. Lazio – 8 Gennaio.
DISPOSITIVI ASIC Application Specific Integrated Circuit De Faveri Martina Classe 3 BET.
Sito IntergruppoParma.it Nuovo Intergruppo Parma.
Aiutare a spendere risparmiando BEST SHOP Best Shop.
PORTE APERTE SUL WEB L'officina del webmaster: strumenti, materiali, iniziative, fonti di informazione, e poi perché costruire siti scolastici ? Laura.
Laurea Magistrale in CHIMICA INDUSTRIALE GUIDA INTERATTIVA ALLISCRIZIONE posizionare correttamente il mouse e premere sulla freccia solo quando compare.
Tutorial relativo al Mio EBSCOhost. Benvenuti al tutorial dedicato a Mio EBSCOhost, verranno fornite le istruzioni per la configurazione e lutilizzo ottimizzato.
Architettura dei sistemi di elaborazione
Project Review Località Sciistica 21 Dicembre 2011.
Gruppo 4: Gelmi Martina, Morelato Francesca, Parisi Elisa La mia scuola ha un sito Web: modelli per la qualità dei siti (Ingegneria del Web)
Sistema Informativo Demanio Idrico marzo Per aumentare lefficienza del servizio abbiamo realizzato un sistema in grado di gestire in modo integrato.
INTERNET Antonio Papa Classe 2^ beat I.S.I.S. G. Meroni a.s. 2007/2008.
Attività Formativa Sviluppo di un WORKFLOW ENGINE di Dott. Riccardo Gasperoni Alessandro Caricato Gabriele Trabucco in collaborazione con Progesi S.p.A.
SoLo mobile client in depth: Mercato mobile, licenze, deploy, uso, features.
Attribution-NonCommercial-ShareAlike Le novità
Attribution-NonCommercial-ShareAlike Le novità
Scoprirete che su Office non si può solo contare ma anche sviluppare.
Sistema Real-time: Sistema VISyR Implementazione nellAmbiente di Sviluppo Quartus-II Semplice Applicazione: Prodotto Matrice x matrice Architettura StratiX.
/ Elementi di C++ Introduzione a ROOT , Laboratorio Informatico ROOT warm up , Laboratorio Informatico Introduzione a.
/ Elementi di C++ Introduzione a ROOT , Laboratorio Informatico ROOT warm up… Introduzione a RooFit Primo esercizio con RooFit Analisi.
Visual Studio Tools for Office: Developer Solutions Platform Fulvio Giaccari MCSD.NET / MCT Responsabile Usergroup ShareOffice Blog:
Project Review Novembrer 17th, Project Review Agenda: Project goals User stories – use cases – scenarios Project plan summary Status as of November.
Corso di Web Services A A Domenico Rosaci Patterns di E-Business D. RosaciPatterns per l'e-Business.
Display list e scene graph Daniele Marini Dipartimento di Informatica e Comunicazione.
Analysis and Development of Functions in REST Logic: Application to the «DataView» Web App UNIVERSITA’ DEGLI STUDI DI MODENA E REGGIO EMILIA DIPARTIMENTO.
ELETTRONICA DIGITALE (II PARTE) (1)
Collection & Generics in Java
Introduction to automatic ABMs documentation Keywords: Doxygen ODD protocol MASON documentation Simone Romano.
Guida alla compilazione del Piano di Studi Curricula Sistemi per l’Automazione Automation Engineering.
Tecnologie Implementative
Sistemi Elettronici Programmabili3-1 FPGA Sistemi Elettronici Programmabili.
Come installare PoliMi
Come installare PoliMi
Transcript della presentazione:

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Progetto di sistemi elettronici LA - esercitazioni Corso di Laurea in Ing. elettronica Esercitazioni copie dei lucidi presentati a lezione breve guida allutilizzo di QUARTUS codici VHDL – sommatore a 4 bit – FF con ingresso di reset sincrono o asincrono e enable – contatore Soluzione guidata di prove desame Grazie a Prof.ssa Eleonora Franchi, Fabio Campi e Antonello Deledda

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Esercitazioni con QUARTUS Breve guida allutilizzo di QUARTUS Software scaricabile gratuitamente dalla rete nella versione web edition -> downloads and licensing -> Quartus II Web Edition (Free) Registrazione obbligatoria Dal menù di installazione scegliere installazione personalizzata e deselezionare tutte le voci tranne le prime 3 (obbligatorie)

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Contatti Sito di riferimento: www-micro.deis.unibo.it -> Staff -> Tommaso DE MARCO Materiale utilizzato durante le esercitazioni Esercizi svolti e archivio temi desame Informazioni utili Ricevimento:

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Esame si possono utilizzare supporti di memoria abilitati solo in lettura (CD ROM) non sarà visibile la propria area utente (il progetto sarà svolto nellarea tmp locale) si può consultare tutto il materiale cartaceo è accessibile la rete del DEIS

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Livelli di astrazione di un sistema digitale n+ S G D + DEVICE CIRCUIT GATE RTL (Register Transfer Level) SYSTEM q=a*b+c

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Integrated Circuits Implementation Choices Full-Custom Standard Cells (with compiled cells and macro cells) Cell-based Mask programmable (Gate Arrays) Pre-wired (FPGA's) Array-based Semicustom Digital Circuit Implementation Approaches ASIC FPGA

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Semi-custom Il progettista può intervenire solo ai livelli più alti di astrazione (algoritmico e architetturale) Deve esistere una libreria di celle progettate e caratterizzate fornita dal costruttore Caratterizzate: a ciascuna cella deve essere associato il valore dei parametri che permettono di calcolare Tp lungo il cammino critico, P e area di sistemi complessi Le celle sono ovviamente state progettate a livello di transistor e il valore dei parametri per valutare le prestazioni è stato ricavato da simulazioni circuitali.

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Full-custom Il progettista può ottimizzare a tutti i livelli di astrazione Mai attuabile per un intero sistema digitale, ma solo per moduli (macrocelle): Riutilizzabili in differenti progetti (es: celle standard) Con prestazioni particolarmente critiche per il sistema complessivo (es: ALU di un µP, MAC in DSP) Regolari (es: memorie) In questo caso il progettista ha bisogno di modelli che permettano di scegliere fra differenti soluzioni. Deve poi disegnare lo schematico, simulare e caratterizzare la macrocella alle porte di IN/OUT affinché possa essere utilizzata in un flusso semi- custom.

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a ASIC Design Flow RTL (HDL es: VHDL) Logic Synthesis Floorplanning Placement Routing Tape-out Circuit Extraction Pre-Layout Simulation Post-Layout Simulation System specification Design Iteration Libreria di celle

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a ) Simulazione post-layout

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a FPGA Design Flow RTL (HDL es: VHDL) Logic Synthesis Programming -file System specification Libreria di celle

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a FPGA Blocco Logico programmabile Canale di interconnessione programmabile I/O block (pad)

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Programming Interconnect Technique based on SRAM or Flash wire 1 wire 2 Memory M stores the gate voltage of the MOS transistor wire 1 wire 2

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Programmable Logic Block based on Look-up Table (LUT) Out ln1ln2 Memory InOut DECODER RAM

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a (from Altera) LUT Registro mux la cui configurazione è fissata in fase di programmazione

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Flusso di progetto in laboratorio boot Windows Creare un direttorio di lavoro QuartusII5.0 Licenza nelle macchine del LAB3: Tools -> license setup -> license file

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Flusso di progetto in laboratorio Descrizione RTL del circuito utilizzando il linguaggio VHDL (.vhd) Verifica codice: start analysis and elaboration Analisi dello schematico associato al file: RTL viewer Simulazione funzionale Creazione netlist: generate functional simulation netlist Creazione file con forme donda (.vwf) Specificare: simulation mode = functional Simulazione: start simulation

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Sintesi logica su FPGA Sintesi: start compilation –Nel summary compaiono i dati relativi allutilizzo delle risorse –Nel project navigator la lista degli elementi utilizzati (Logic Cell, Logic Cell Register,Pin,..) –Nella finestra di log compare già linformazione della massima frequenza a cui il circuito può funzionare l Info: Clock "CLOCK" has Internal fmax of MHz between source register "cicli[7]" and destination register "cicli[13]" (period= ns) Simulazione post-sintesi (timing) Specificare: simulation mode = timing Simulazione: start simulation Analisi delle prestazioni: start timing analyzer

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Menu Assignments ->Settings: Files: permette di selezionare fra differenti file.vhd allinterno dello stesso progetto lunico attivo (eseguire remove e lasciare un solo file.vhd) General: permette di definire la top-level entity (solo dopo che sia stato eseguito il comando start analysis and elaboration il sistema riconosce automaticamente tutte le entità). Di default il nome della top-level entity che il sistema si aspetta coincide con il nome del project Simulator : permette di definire il tipo di simulazione (functional o timing) e di specificare il file con gli stimoli (.vwf)

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Suggerimenti per la simulazione Mantenere stabili le configurazioni degli ingressi per un tempo sufficientemente lungo da assicurarsi che nelle simulazioni timing i transitori siano esauriti prima della nuova commutazione degli ingressi Il periodo di un segnale di tipo clock ha di default assegnato un periodo di 10 ns (per simulazioni timing NON è in generale sufficiente) Controllare la durata della simulazione assegnando un valore ragionevole a end time Attenzione: di default è 1 us Il valore ragionevole è definito dal numero di configurazioni distinte in ingresso che fornite Controllare grid time (a metà del Tck)

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Struttura file.vhd library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity nome_del_modulo is port ( term1,term2 : in std_logic; term3,term4 : out std_logic) ; end nome_del_modulo; architecture tipo_architettura of nome_del_modulo is begin ……. end tipo_archiettura; entity interfaccia I/O del modulo architecture descrizione del circuito (comportamentale o strutturale) link a librerie e package

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Esempio library IEEE; use IEEE.std_logic_1164.all; entity HA is port ( I1,I2 : in std_logic; SUM, CO : out std_logic); end HA; architecture BEHAVIOR of HA is begin SUM <= (I1 xor I2); assegnamenti eseguiti simultaneamente CO <= (I1 and I2); end BEHAVIOR;

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Tipi di dato std_logic e std_logic_vector richiedono il link al package use IEEE.std_logic_1164.all; unsigned/signed richiedono il link ai package use IEEE.std_logic_unsigned.all; use IEEE.std_logic_signed.all; use IEEE.std_logic_arith.all;

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Operazioni logiche not, and, or, nand, nor, xor operandi std_logic Operatori relazionali = uguale /= diverso > maggiore >= maggiore o uguale < minore <= minore o uguale operandi signed, unsigned

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a OPERAZIONI ARITMETICHE + - * (e.. pagina 39 manuale) somme: Quartus richiede che gli operandi e il risultato siano espressi dallo stesso numero n di bit moltiplicazioni: operandi a n bit, risultato a 2*n bit su operandi di tipo signed o unsigned

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Example: 4 bit adder

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a The Ripple-Carry Adder

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Full-Adder Cout i =A i B i +Cin i (A i + B i ) = G i + Cin i P i Sum i = A i + B i + Cin i = P i + Cin i

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Progetto gerarchico

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a library IEEE; use IEEE.std_logic_1164.all; entity HA is port ( I1,I2 : in std_logic; SUM, CO : out std_logic); end HA; architecture BEHAVIOR of HA is begin SUM <= (I1 xor I2); CO <= (I1 and I2); end BEHAVIOR; VHDL description of an Half - Adder

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a RTL viewer

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Simulazione funzionale

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Simulazione dopo la sintesi Tp

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a library IEEE; Structural description of a Full-Adder use IEEE.std_logic_1164.all; Structural description of a Full-Adder entity FA is port ( A,B,CIN : in std_logic; S, COUT : out std_logic); end FA; architecture STRUCTURAL of FA is component HA dichiarazione del componente HA port ( I1,I2 : in std_logic; SUM, CO : out std_logic); end component; signal S1, C1, C2 : std_logic; definzione di segnali interni begin ha1 : HA port map( I1 => B, I2 => CIN, SUM => S1, CO =>C1); istanzia i moduli ha2 : HA port map( I1 => A, I2 => S1, SUM => S, CO => C2); COUT <= C2 xor C1; Att: nel file.vhd deve esserci la descrizione di tutti I moduli della gerarchia end STRUCTURAL;

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a RTL viewer C1 C2 S1

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Simulazione funzionale

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Simulazione dopo la sintesi

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a library IEEE; use IEEE.std_logic_1164.all; entity FA is port ( A,B,CIN : in std_logic; S, COUT : out std_logic); end FA; architecture BEHAVIOR of FA is begin S <= (A xor B) xor CIN; COUT <= (A and B) or (B and CIN) or (A and CIN); end BEHAVIOR; Behavioral description of a Full-Adder

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a RTL Viewer

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a library IEEE; Structural description of the Adder use IEEE.std_logic_1164.all; Structural description of the Adder entity ADDER4 is port ( A, B : in std_logic_vector(3 downto 0); CIN : in std_logic; COUT : out std_logic; S : out std_logic_vector(3 downto 0) ); end ADDER4; architecture STRUCTURAL of ADDER4 is component FA port ( A,B,CIN : in std_logic; S, COUT : out std_logic); end component; signal K : std_logic_vector(4 downto 0); begin adder_loop : for I in 0 to 3 generate fa_I : FA port map ( A => A(I), B => B(I), CIN => K(I), COUT => K(I+1), S => S(I) ); end generate; K(0) <= CIN; COUT <= K(4); end STRUCTURAL; Att: nel file.vhd deve esserci la descrizione di tutti I moduli della gerarchia

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a RTL Viewer

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Simulazione funzionale

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a Simulazione dopo la sintesi

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a library IEEE; use IEEE.std_logic_1164.all; Behavioral description of the Adder: 1 use IEEE.std_logic_arith.all; Behavioral description of the Adder: 1 entity ADDER4 is port ( A, B : in unsigned (3 downto 0); CIN : in std_logic; COUT : out std_logic; S : out unsigned(3 downto 0) ); end ADDER4; architecture BEHAVIORAL of ADDER4 is signal K : unsigned (4 downto 0); signal Aint, Bint, Cint : unsigned(4 downto 0); begin Aint <=conv_unsigned(A,5); somme: Quartus richiede che gli operandi e il risultato Bint <=conv_unsigned(B,5); siano rappresentati con lo stesso numero di bit Cint <= conv_unsigned(CIN,5); K <= Aint+Bint+Cint; S <= K(3 downto 0); COUT <= K(4); end BEHAVIORAL;

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a RTL Viewer

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; entity ADDER4bis is port ( A, B : in unsigned (4 downto 0); CIN : in std_logic; COUT : out std_logic; S : out unsigned(3 downto 0) ); end ADDER4bis; architecture BEHAVIORAL of ADDER4bis is signal K : unsigned (4 downto 0); signal Cint : unsigned(4 downto 0); begin Cint <= conv_unsigned(CIN,5); K <= A+B+Cint; S <= K(3 downto 0); COUT <= K(4); end BEHAVIORAL; Behavioral description of the Adder: 2

Adapted from J. Rabaey et al, Digital Integrated Circuits 2nd, 2003 Prentice Hall/Pearson a.a RTL Viewer