Tor Vergata Tor Vergata Liana Placitelli Implementazione Hardware e Software di un sistema di controllo PWM comandabile da remoto Relatore Prof. Bertazzoni.

Slides:



Advertisements
Presentazioni simili
Università degli studi di Trieste – Tesi di laurea triennale in Ingegneria elettronica PROTOCOLLO DI COMUNICAZIONE TRA PC E MICROCONTROLLORE PER UN’INTERFACCIA.
Advertisements

Tesi di Laurea Triennale in Ingegneria Elettronica Applicata
Realizzazione di un robot mobile controllato mediante comandi labiali
Come aumentare le linee di I/O?
Relatore Tesi di laurea di
PROGETTAZIONE E REALIZZAZIONE DI UNA UNITÀ DI POTENZA MULTIUSO
Argomento: Tesi in robotica
D.I.Me.Ca. – D.I.Me.Ca. – Università degli Studi di Cagliari Facoltà di Ingegneria Dipartimento di Ingegneria.
Università degli Studi di Bologna FACOLTÀ DI INGEGNERIA Corso di laurea in Ingegneria Elettronica Automazione ed Organizzazione Sanitaria ELABORAZIONE.
Il sistema SIEMECA A.Brocca. Struttura del sistema Il sistema SIEMECA Il network si compone di:  Misuratori: inviano telegrammi dati o di installazione.
Tesi di Laurea in Ingegneria Elettronica Progetto, caratterizzazione e realizzazione di un sintetizzatore di frequenza basato su un approssimatore in logica.
1 Filtraggio di immagini digitali attraverso combinazione adattativa di filtri di Wiener e Rule Based Filter Facoltà di ingegneria Tesi di laurea in ingegneria.
Autore: Claudio Mignanti PARTE PRIMA Componenti base Resistori Condensatori Diodi Transistor Porte logiche.
POLITECNICO DI MILANO FACOLTA’ DI INGEGNERIA SEDE DI CREMONA TESI DI DIPLOMA IN INGEGNERIA INFORMATICA RELATOREAUTORI Prof. Vittorio TrecordiDemicheli.
Sensori analogici e digitali per la misura di
1 Unità didattica 1 – Concetti di base del personal computer Premessa: «L’unità didattica programmata destinata ad una allieva con obiettivi minimi della.
Università degli studi di Roma “Tor Vergata” Progettazione e realizzazione di un sistema di test per memorie Flash in ambiente radiativo CANDIDATO Marco.
Progetto e Sviluppo di un Sistema per il Gioco degli Scacchi Tridimensionali Tesi di Laurea di: Marco Bresciani matricola Relatore: Prof. Marco.
1 A. A Roma, 2 Maggio 2006 UNIVERSITÀ DEGLI STUDI DI ROMA “TOR VERGATA” Facoltà di Ingegneria Corso di Laurea in Ingegneria Elettronica Studio.
IL PLC Formazione ed informazione su: C ontrollori L ogici P rogrammabili a cura di: Antonio Minio.
Elaborazione in tempo reale di immagini digitali attraverso tecniche fuzzy FACOLTA’ DI INGEGNERIA Tesi di Laurea in Ingegneria Elettronica Relatore Prof.
13 gennaio Sistema di rilevazione delle temperature all’interno di Personal Computer Industriali Dipartimento di Ingegneria Elettronica SISTEMA.
“Progettazione ed implementazione di un sistema digitale di elaborazione vettoriale per trattamento dati di radar ottici a doppia modulazione di ampiezza”
UNIVERSITA’ DEGLI STUDI DI ROMA “TOR VERGATA” FACOLTA’ DI INGEGNERIA TESI DI LAUREA IN INGEGNERIA ELETTRONICA “Sviluppo di un sistema con comunicazione.
Università di Roma “Tor Vergata” Facoltà di Ingegneria Elettronica Tesi di laurea in Ingegneria Elettronica Studio e realizzazione di un sistema Studio.
Elementi fondamentali dell’ Architettura di di un elaboratore elettronico.
Ing. Filomeno Viscido Formazione:
PIEZOELETTRICO MONTATO SU ELEMENTO ELASTICO ALLOGGIAMENTO CIRCUITO
Musolino Carmelo Borsista del progetto di formazione NEMBO.
Piattaforma per la gestione di forniture basata su servizi web
UNIVERSITÀ DEGLI STUDI DI ROMA
Telecomunicazioni 2.
Protocollo di trasmissione tramite tecnologia Barryvox
Studente/i Relatore Correlatore Committente Yannick Charles
Sistema di Acquisizione Dati Per un Rivelatore di Muoni
IMPLEMENTAZIONE E CONVALIDA DI UN SOFTWARE PER LA GESTIONE DI PROCESSI E DOCUMENTI DI QUALITÀ IN AMBITO FARMACEUTICO Studente/i Relatore Correlatore Committente.
EasyGraph Dynamic web-based dashboard
Università degli studi di Pavia Luca Lodola
Studente/i Relatore Correlatore Committente Christian Ortega
Studente/i Relatore Correlatore Committente Pagano Pedro Daniel
Sistema di Analisi e di Acquisizione
Sistema di acquisizione audio tramite MEMS e riconoscimento vocale
Microcontrollori e microprocessori
Elettronica per MEGup: novità
GIS: I MONUMENTI ABBANDONATI DI PALERMO
Università Politecnica delle Marche – Facoltà di Medicina e Chirurgia
Amministrazione dei servizi di stampa
Sviluppo di server web e sistema di caching per contenuti dinamici
1 o 2 immagini inerenti l’argomento di tesi (le stesse della sintesi)
Nome del progetto e partenariato
INSEGUITORE SOLARE CON ARDUINO
analizzatore di protocollo
Realizzazione del progetto di un ascensore
Volkswagen Touran Interfaccia di diagnosi per CAN-bus dati (gateway)
LIBOTECH S.C. a R.L. PRESENTAZIONE AZIENDALE.
La scheda Arduino.
Realizzazione di un robot d’assistenza alla gente
Emergency Department Wait Time Prediction
Laureando: Nome COGNOME Matricola
Università degli studi di Pavia Luca Lodola
ADO Per gestire i database con tecnologia ASP si utilizzano strumenti ADO (ActiveX Data Objects): un'architettura che fornisce oggetti.
Corso di Laurea Specialistica/Magistrale in Farmacia
Concetti base 1.1.
LOGICA DI FUNZIONAMENTO
LE PARTI INTERNE DEL COMPUTER
Corso di laurea in Infermieristica
Corso di laurea in Infermieristica
Corso di Laurea in Farmacia Dipartimento di Scienze del Farmaco
Misura automatica di tensione e corrente delle camere
Progetto e collaudo di un ricevitore GPS satellitare per il satellite universitario PiCPoT Relatori: Leonardo Reyneri Claudio Sansoè Candidata: Monica.
Transcript della presentazione:

Tor Vergata Tor Vergata Liana Placitelli Implementazione Hardware e Software di un sistema di controllo PWM comandabile da remoto Relatore Prof. Bertazzoni Stefano Laureanda Placitelli Liana Correlatore Dott. Di Giovenale Domenico Liana Placitelli Facoltà di Ingegneria - Tesi di laurea in Ingegneria Elettronica

Tor Vergata Tor Vergata Liana Placitelli Sommario La Pulse Width Modulation Applicazione pratica per il controllo di motori DC da remoto: Conclusioni -implementazione del PWM su una FPGA -interfaccia FPGA-Rabbit-PC

Tor Vergata Tor Vergata Liana Placitelli Pulse Width Modulation La PWM, è un metodo di codifica delle informazioni mediante variazioni della durata degli impulsi. DutyCycle: Potenza fornita dai driver ai motori: t T

Tor Vergata Tor Vergata Liana Placitelli Applicazione Controllo di motori da un PC MICRO PROCESSORE FPGA DRIVER MOTORI

Tor Vergata Tor Vergata Liana Placitelli Progetto FPGA (HDL) Host Driver

Tor Vergata Tor Vergata Liana Placitelli PWM CLK TCLK PWM nRES Sign Data(7,0) Data [7] Data [6] Data [5] Data [4] Data [3] Data [2] Data [1] Data [0] Sign DC [3] DC [2] DC [1] DC [0] DC [5] DC [4] DC [6] DC PWMDC = numero di unità di tempo

Tor Vergata Tor Vergata Liana Placitelli Driver L298 Circuito integrato monolitico Doppio driver Circuito PWMEnable

Tor Vergata Tor Vergata Liana Placitelli Rabbit 2000 Sistema di sviluppo Linguaggio di alto livello (Dynamic C) Presenta numerose librerie di funzioni già implementate

Tor Vergata Tor Vergata Liana Placitelli Interfaccia tra il Rabbit ed il PC Connessione tramite porte seriali Controllo dati inviati e ricevuti Comunicazione basata su un protocollo custom Apertura porta seriale Inizializzazione del buffer Lettura dati in ingresso Controllo dati (CheckSum)Format_IN IO_Buffer Format_OUT Scrittura dati sulla seriale

Tor Vergata Tor Vergata Liana Placitelli Gestione dati OPCDEVB_ADDN_ADD_HN_ADD_L DATO 1 DATO n Buffer di ingresso: Buffer LPT: LPT BUFFER LPTRESCHECKSUM

Tor Vergata Tor Vergata Liana Placitelli Funzioni (1) -- Format_IN: LPT BUFFER LPTRES Buffer_ConctBuffer

Tor Vergata Tor Vergata Liana Placitelli Funzioni (2) -- IO_Buffer: Buffer LPT con i dati FPGA Scrittura :OPC_WRDEVB_ADDN_ADD_HN_ADD_L DATO 1 DATO n RABBIT Risultato della lettura Lettura :OPC_RDDEVB_ADDN_ADD_HN_ADD_L Buffer LPT DATO 1 DATO n FPGARABBIT

Tor Vergata Tor Vergata Liana Placitelli Funzioni (3) -- Buffer_OUT: Lettura : DATO 1 DATO n RES ERR CODE N_DATA_HN_DATA_LCHK errore: RES ERR CODE 00CHK Scrittura : RES ERR CODE 00CHK

Tor Vergata Tor Vergata Liana Placitelli

Tor Vergata Tor Vergata Liana Placitelli Conclusioni L’implementazione hardware e software della FPGA La progettazione e il montaggio della scheda di pilotaggio dei motori La modifica del programma di interfaccia Rabbit- PC per l’acquisizione dei dati dalla porta seriale E’ stato realizzato e testato un sistema di controllo PWM Contributo originale: