L’azienda di packaging ‘’Choco-Pack’’ dispone di un macchinario per l'impacchettamento di cioccolatini in scatole da 8 pezzi. Per variare l'offerta sul.

Slides:



Advertisements
Presentazioni simili
PABB1102-Lidia Buccellato
Advertisements

MULTIVIBRATORI BISTABILI
Dalla macchina alla rete
L/s scatole Lattine rosse m/l minuti Lattine verdi Lattine Le lattine rosse sono in scatole uguali Bisogna fare in un certo numero di minuti delle lattine.
INVENTO PROBLEMI A DUE OPERAZIONI
Limiti di funzione.
Cassaforte asincrona con retroazioni dei FF SR La Cassaforte asincrona è una rete che una volta ricevuti in ingresso le combinazioni , invia un.
INVENTO PROBLEMI A DUE OPERAZIONI
Mescoliamo i colori Laboratorio didattico informatico marzo Dott. Alberto Stefanel.
INFORMATICA typedef.
Esercizio Temperatura Un processore è interfacciato a due periferiche di input che indicano il numero di autovetture passate nelle due direzioni di un.
Argomenti complementari Capitolo 9. Clock Skew Se vi sono dei ritardi sulla linea del clock il funzionamento del circuito potrebbe risentirne pesantemente.
IL GIOCO DEGLI SCACCHI Cosa sono gli scacchi?
Flip-flop e Registri.
Dalla macchina alla rete: reti LLC
Modelli simulativi per le Scienze Cognitive
Convertitore A/D e circuito S/H
Emilio Brengio Progetto Rhoda
www-lia.deis.unibo.it/materiale/retilogiche
Cos’è una sequenza? Una sequenza è una successione finita di valori, dove ogni valore ha una durata prefissata e costante (T). I valori della sequenza.
Progetto RSS Creazione di una rete per gestire lo spegnimento di macchine in caso di blackout.
Di Ilaria Bertoletti Progetto Asincrono Esame Reti Logiche 30/01/13.
Rete Sincrona Una rete sequenziale sincrona, è dotata di un ingresso E, di un segnale di Clock e uno di reset. Ad ogni fronte del Clock, deve essere campionato.
TIRO AL BERSAGLIO Di Ilaria Bertoletti Progetto Sincrono esame Reti logiche 30/01/13.
TIRO AL BERSAGLIO Di Ilaria Bertoletti Progetto Sincrono esame Reti logiche 30/01/13.
1 Premessa In questo progetto sono stati implementati tutti gli esercizi di Complementi di esercizi di Reti logiche. Elenco degli esercizi svolti: 1.Registro.
FlipFlop D Asincrono Il FlipFlop D è una rete sequenziale asincrona che si comporta da memoria. E' caratterizzato, nella versione più semplice, da 2 ingressi.
FlipFlop D Asincrono Il FlipFlop D è una rete sequenziale asincrona che si comporta da memoria. E' caratterizzato, nella versione più semplice, da 2 ingressi.
FORMATTAZIONE CONDIZIONALE & CONVALIDA DATI
A.D’Angelo – IL TEOREMA DI PITAGORA A.D’Angelo –
Unità 2 Un acquisto I colori Compito: 1. Avrebbe trovato, 2. Si sarebbe trattenuto, 3. saranno stati, 4.saranno state, 5. Avrai girato, 6. Avrete avuto.
1. Panoramica sul BarGraph
Progetto Triennale extracurriculare 2° anno Progetto Triennale extracurriculare 2° anno.
PREFAZIONE Per poter eseguire il progetto Schematico occorre installare la libreria libreria.cat e i relativi file Sch e Sym presenti nella cartella librerie,
Simulatore Breve riassunto dei comandi più importanti del simulatore MPLAB.
Elenchi in Excel E’ possibile inserire le voci del nuovo elenco oppure
Sistema di regolazione del volume Il progetto consiste nella sintesi e nella realizzazione attraverso Xilinx di un sistema per la regolazione del volume,
RETE ASINCRONA Una rete sequenziale asincrona prende in ingresso due segnali X2 e X1 emessi da un telecomando e, in base alle combinazioni successive di.
Esame Orale Di Reti Logiche
Riassunto Rete Vi sono dunque due ingressi (X1,X2) e ununica uscita; X1 e X2 non cambiano mai contemporaneamente Luscita va a 1 se viene rispettata la.
Convalida dati Menù dei comandi Dati Convalida. Convalida Dati E’ utile quando si vuole utilizzare un dato prelevato da un insieme Si opera in questo.
Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale.
Introduzione Il progetto Cassaforte II assegnamento consiste in una diversa codifica delle variabili di stato. Ci si chiede se questo possa influenzare.
Testo dell’esercizio L’ascensore di un edificio a 2 piani utilizza 2 sensori S0, S1 per determinare la posizione della cabina passeggeri. Il segnale generato.
L’azienda di packaging ‘’Choco-Pack’’ dispone di un macchinario per l'impacchettamento di cioccolatini in scatole da 8 pezzi. Per variare l'offerta sul.
1 Sistemi Informativi e Servizi in Rete Università degli Studi di Brescia Facoltà di Ingegneria Parsing di documenti XML Esercizi.
CLASSE: IV C1 CLASSE: IV C1 MASTER PLAYENERGY 2009 L’energia..”fai da te” ARGOMENTO:
IL TEOREMA DI PITAGORA.
Un magazzino della frutta dispone di una pompa di calore per il mantenimento del clima entro un intervallo di temperatura. Una condizione termica critica.
Evento: “Fatto o avvenimento che già si è verificato o che può verificarsi ….” Gli eventi di cui ci occuperemo saranno soltanto gli eventi casuali, il.
Informatica Introduzione alle basi di dati Lezione 4 Scienze e tecniche psicologiche dello sviluppo e dell'educazione, laurea magistrale Anno accademico:
Analisi e Sintesi di un contatore BCD con Quartus II
Il modello relazionale. Modello logico dei dati basato su concetti relazione e tabella Relazione: da teoria degli insiemi Tabella: rappresentazione grafica.
Creazione quadrato magico 5 x 5 inserimento guidato
Metodi Quantitativi per Economia, Finanza e Management Lezione n°5.
Dalla macchina alla rete: reti LLC. Dalla macchina alla rete Per realizzare una macchina sequenziale è necessario –Codificare gli insiemi I,S,O con variabili.
Reti Sequenziali Corso di Architetture degli Elaboratori Reti Sequenziali.
Una ditta specializzata in confezionamenti di ananas costruisce una rete logica per ottimizzare la propria produzione. La rete è formata da un nastro trasportatore,
SEMINARIO DI FORMAZIONE INTERMEDIO 2 Aprile 2004 – PALERMO 13 Febbraio - CATANIA Progetto F.A.R.O. Formazione Autoanalisi Ricerca Output Relazione a cura.
In una macchina automatica vengono utilizzati due segnali binari X1, X2 per verificare la corretta esecuzione di una certa attività su ciascun prodotto.
ELETTRONICA DIGITALE – circuiti sequenziali
Corso integrato di Matematica, Informatica e Statistica Informatica di base Linea 1 Daniela Besozzi Dipartimento di Informatica e Comunicazione Università.
Rappresentazione come schematico della seguente rete sequenziale asincrona: Una rete sequenziale asincrona, dotata di due ingressi X0, X1 e di un’uscita.
Una rete sequenziale asincrona, dotata di due ingressi X0, X1 e di un’uscita Z, deve stabilire se si verifica prima un fronte di salita di X0 o un fronte.
Come risolvere il cubo di RUBIK
Laboratorio di Architettura Degli Elaboratori1 Macchine a stati finiti – un automa di Mealy generale con 1 bit d’ingresso, 1 di uscita e 2 di stato.
Progetto annuale 2012/ 2013 Percorso didattico nel mondo dei colori
Flip flop sincronizzati Spesso l’eventuale cambiamento di stato di un flip-flop non si fa coincidere con l’istante in cui si modificano i valori dei bit.
Vendi potenza di calcolo 1/7
BREVE PRESENTAZIONE DELLE PROCEDURE PER LA COMPILAZIONE DEI DOCUMENTI.
Transcript della presentazione:

L’azienda di packaging ‘’Choco-Pack’’ dispone di un macchinario per l'impacchettamento di cioccolatini in scatole da 8 pezzi. Per variare l'offerta sul mercato i cioccolatini vengono prodotti in due gusti diversi e possono essere disposti nelle scatole in 4 configurazioni: XO OX XO OX A righe ugualiA colonne ugualiA scacchiera XO OX XO OX XO OX XX OO XX OO XX OO XX OO Monogusto

-La rete viene abilitata quando la scatola è in posizione per essere riempita ( ENABLE =1) e ad ogni impulso di clock due cioccolatini vengono inseriti in scompartimenti successivi. -Al momento dell'inserimento degli ultimi due cioccolatini, l'uscita Z deve assumere il valore logico 1 per un periodo di clock se la configurazione con cui i cioccolatini sono stati disposti corrisponde ad una di quelle sopraelencate altrimenti permanere a 0. -Una volta completato il riconoscimento della configurazione di una scatola la rete riprende ad analizzare quella successiva. -La disattivazione del segnale ENABLE corrisponde all'estrazione della scatola dal macchinario; per cui i cioccolatini emessi mentre ENABLE ha valore logico 0 non saranno immessi nella scatola e quindi non verranno considerati nella configurazione in corso.

Colori dei segnali: In blu FILA_1 e FILA_2 In verde il CLOCK In rosso l’ENABLE In bianco l’uscita OK In giallo l’uscita Z Dopo l’abilitazione del conteggio (EN=1) la prima configurazione verificata è la scatola a righe uguali ( ), in seguito viene verificata la scatola a colonne uguali( ) e infine quella a scacchiera ( ) in cui (01)non viene conteggiato in quanto l’ENABLE durante quel periodo di CLOCK non è abilitato. Per concludere viene valutata la sequenza ( ) la quale non corrisponde a nessuna configurazione accettata per cui l’uscita non permuta positivamente.

Colori dei segnali: In blu FILA_1 e FILA_2 In verde il CLOCK In rosso l’ENABLE In bianco l’uscita OK In giallo l’uscita Z La simulazione post-route rispecchia perfettamente la behavioral ma l’uscita Z ritarda per le commutazioni di circa 9 ns;