Progetto Rete Sequenziale Sincrona

Slides:



Advertisements
Presentazioni simili
Aritmetica Binaria
Advertisements

Dalla macchina alla rete
Cassaforte asincrona SR
Cassaforte asincrona con retroazioni dei FF SR La Cassaforte asincrona è una rete che una volta ricevuti in ingresso le combinazioni , invia un.
Circuiti Aritmetico-Logici
Segnali ed esecuzione concorrente. Introduzione I segnali simulano i collegamenti fisici tra blocchi Servono a sincronizzare o scambiare dati tra processi.
Circuiti di memorizzazione elementari: i Flip Flop
Bus e interconnessione fra registri
ESEMPI DI ARCHITETTURE DI DAC
CONVERTITORI A/D ad ELEVATE PRESTAZIONI
Informatica 3 Codifica binaria.
ARCHITETTURA DEI SISTEMI ELETTRONICI
ARCHITETTURA DEI SISTEMI ELETTRONICI
Confronto di due signed (in compl. a 2) Caso 1: numeri dello stesso segno Non ci può essere overflow (sottraendo, viene fuori un numero più piccolo in.
PSPICE – simulazione sommatori, comparatori
Lezione del 30/11/2010 By Vaccaro Maria A.. Con base 2 e n cifre, abbiamo a disposizione 2 n configurazioni distinte. Per esempio con 8 bit (n=4) possiamo.
Ricerca della Legge di Controllo
Reti combinatorie: moduli di base
Codici binari decimali
Display a 7 segmenti Il display a 7 segmenti è un dispositivo composto da 7 diodi luminosi LED (Light-Emitting Diode) sagomati a forma di rettangolo o.
Cos’è una sequenza? Una sequenza è una successione finita di valori, dove ogni valore ha una durata prefissata e costante (T). I valori della sequenza.
Progetto RSA Analisi di un progetto di una rete per la direzione dei veicoli negli opportuni parcheggi in base alla loro lunghezza Cappa Francesca
Progetto RSS Creazione di una rete per gestire lo spegnimento di macchine in caso di blackout.
Rete Asincrona Una rete sequenziale asincrona è dotata di due ingressi E, X e di una uscita Z. L'uscita Z deve diventare 1 solamente quando durante l'ultima.
Di Ilaria Bertoletti Progetto Asincrono Esame Reti Logiche 30/01/13.
Rete Sincrona Una rete sequenziale sincrona, è dotata di un ingresso E, di un segnale di Clock e uno di reset. Ad ogni fronte del Clock, deve essere campionato.
Progetto Rete Sequenziale Asincrona
TIRO AL BERSAGLIO Di Ilaria Bertoletti Progetto Sincrono esame Reti logiche 30/01/13.
TIRO AL BERSAGLIO Di Ilaria Bertoletti Progetto Sincrono esame Reti logiche 30/01/13.
1 Premessa In questo progetto sono stati implementati tutti gli esercizi di Complementi di esercizi di Reti logiche. Elenco degli esercizi svolti: 1.Registro.
Una rete sequenziale asincrona è dotata di due
PROGETTO 1 Un lettore di musica digitale è dotato di un sistema per la regolazione del volume composto da tre pulsanti + e – e [] e progettato in modo.
Una macchina sequenziale asincrona ha due ingressi x1, x2 e un'uscita z. Gli ingressi non cambiano mai di valore contemporaneamente. L'uscita assume il.
FlipFlop D Asincrono Il FlipFlop D è una rete sequenziale asincrona che si comporta da memoria. E' caratterizzato, nella versione più semplice, da 2 ingressi.
Una rete sequenziale asincrona e' caratterizzata da due segnali di ingresso X1, X2 (i quali non cambiano mai contemporaneamente) e da un segnale di uscita.
FlipFlop D Asincrono Il FlipFlop D è una rete sequenziale asincrona che si comporta da memoria. E' caratterizzato, nella versione più semplice, da 2 ingressi.
Cella Elementare Il FullAdder 8bit è costituito essenzialmente da 8 celle elementari disposte a cascata. Questa organizzazione è dovuta al fatto che la.
MACCHINE A STATI FINITI
PROGETTO DI UN FILTRO POLIFASE FIR DECIMATORE PER IMPIEGO IN UN SISTEMA MULTISTANDARD UMTS-WLAN RELATORE: Prof. Carla Vacchi CORRELATORE: Ing. Everest.
Convertitori di Codice
PREFAZIONE Per poter eseguire il progetto Schematico occorre installare la libreria libreria.cat e i relativi file Sch e Sym presenti nella cartella librerie,
CARRY LOOKAHEAD ADDER:
Lascensore di un edificio a 2 piani utilizza 2 sensori S0, S1 per determinare la posizione della cabina passeggeri. Il segnale generato da ciascun sensore.
Lascensore di un edificio a 2 piani utilizza 2 sensori S0, S1 per determinare la posizione della cabina passeggeri. Il segnale generato da ciascun sensore.
Progetto VHDL: Esempio 1 Reti Asincrone
Progetto Asincrono Schematico.
Reti combinatorie: moduli di base
Esame Orale Di Reti Logiche
Diagramma degli stati primitivo (Mealy)
Riassunto Rete Vi sono dunque due ingressi (X1,X2) e ununica uscita; X1 e X2 non cambiano mai contemporaneamente Luscita va a 1 se viene rispettata la.
In una macchina automatica vengono utilizzati due segnali binari X1,
Progetto di una memoria cache per il processore DLX Andrea Grandi Filippo Malaguti Massimiliano Mattetti Gabriele Morlini Thomas Ricci Progetto di Calcolatori.
Progetto di una memoria cache per il processore DLX Andrea Grandi Filippo Malaguti Massimiliano Mattetti Gabriele Morlini Thomas Ricci Progetto di Calcolatori.
Progetto di una memoria cache per il processore DLX Andrea Grandi Filippo Malaguti Massimiliano Mattetti Gabriele Morlini Thomas Ricci Progetto di Calcolatori.
Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale.
VHDL per FPGA Courtesy of S. Mattoccia.
Cassaforte asincrona II assegnamento. Descrizione Il progetto Cassaforte II assegnamento consiste in una codifica diversa delle variabili di stato. Codifica.
Testo dell’esercizio L’ascensore di un edificio a 2 piani utilizza 2 sensori S0, S1 per determinare la posizione della cabina passeggeri. Il segnale generato.
L’azienda di packaging ‘’Choco-Pack’’ dispone di un macchinario per l'impacchettamento di cioccolatini in scatole da 8 pezzi. Per variare l'offerta sul.
ESCURSIONI SOCIALI Accompagnamento di persone con limiti fisici  Quale responsabilità si assume l'accompagnatore che permette la partecipazione a una.
Una rete sequenziale asincrona ha due ingressi C,X e un’uscita Z. I segnali C,X non cambiano mai di valore contemporaneamente. Il segnale C è periodico;
Teoria dei sistemi Autore: LUCA ORRU'.
Rappresentazione dell’Informazione
Analisi e Sintesi di un contatore BCD con Quartus II
ADC – SCHEMA GENERALE I convertitori AD sono disponibili come circuiti integrati in diversi modelli, che differiscono fra loro per prezzo, prestazioni.
1 Ripple Carry Adder generazione e propagazione del carry, evoluzione delle uscite Corso di Architetture VLSI per l’elaborazione digitale dei segnali Università.
In una macchina automatica vengono utilizzati due segnali binari X1, X2 per verificare la corretta esecuzione di una certa attività su ciascun prodotto.
Rappresentazione come schematico della seguente rete sequenziale asincrona: Una rete sequenziale asincrona, dotata di due ingressi X0, X1 e di un’uscita.
Laboratorio di Architettura Degli Elaboratori1 Macchine a stati finiti – un automa di Mealy generale con 1 bit d’ingresso, 1 di uscita e 2 di stato.
Laboratorio di Architettura Degli Elaboratori1 PSPICE – simulazione di circuiti combinatorii Decodificatore e Multiplexer.
Transcript della presentazione:

Progetto Rete Sequenziale Sincrona Torsello Marco Donato 0000587966

Testo Un autobus è composto a due sezioni, una anteriore e una posteriore, ognuna delle quali possiede una porta per la salita e una per la discesa. Per il corretto rispetto delle norme di sicurezza si deve tenere sotto controllo il numero di passeggeri ed impedire il superamento del limite imposto dalle norme vigenti(50). Si vuole realizzare una rete sequenziale sincrona che permetta di aprire le porte di salita solo se vi sono posti e solamente durante le soste stabilite dall'autista. In caso di un solo posto disponibile si da priorità alla porta nella parte anteriore. In particolare, gli ingressi della rete sono composti da: - 4 sensori situati sulle porte , SS1 (Sensore Salita 1), SS2, SD1 (Sensore Discesa 1), SD2. - FER(Fermata) che viene attivato dall'autista per segnalare la sosta del mezzo; Inoltre, le uscite sono AP1(Abilita Porta 1) e AP2, abilitati nel caso in cui i posti fossero disponibili. Torsello Marco Donato 0000587966

Mux ad 8 bit Torsello Marco Donato 0000587966

Rete «CalcoloVariazioni» SS1 SS2 SD1 SD2 Risultato(10) 1 -1 -2 2 Questa rete combinatoria ha il compito di calcolare quante persone saliranno(un valore positivo) o scenderanno(un valore negativo) in base ai sensori. Il valore così ottenuto verrà poi sommato al numero delle persone presenti nell’autobus. Torsello Marco Donato 0000587966

Torsello Marco Donato 0000587966

library IEEE; use IEEE. STD_LOGIC_1164 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity CalcoloVariazioni is Port ( S1 : in STD_LOGIC; S2 : in STD_LOGIC; D1 : in STD_LOGIC; D2 : in STD_LOGIC; O : out STD_LOGIC_VECTOR (7 downto 0)); end CalcoloVariazioni; architecture Behavioral of CalcoloVariazioni is begin O<= "00000010" when (S1='1' and S2='1' and D1='0' and D2='0') -- Con questa configurazione d'ingresso, saliranno 2 persone else "11111110" when (S1='0' and S2='0' and D1='1' and D2='1') -- Con questa configurazione d'ingresso, scenderanno 2 persone else "00000001" when (S1='1' and S2='0' and D1='0' and D2='0') or (S1='0' and S2='1' and D1='0' and D2='0') or (S1='1' and S2='1' and D1='1' and D2='0') or (S1='1' and S2='1' and D1='0' and D2='1') -- Con queste configurazione d'ingresso, salirà una persona else "11111111" when (S1='0' and S2='0' and D1='1' and D2='0') or (S1='0' and S2='0' and D1='0' and D2='1') or (S1='1' and S2='0' and D1='1' and D2='1') or (S1='0' and S2='1' and D1='1' and D2='1') -- Con queste configurazione d'ingresso, scenderà una persona else "00000000"; -- In tutti gli altri casi le variazioni saranno nulle end Behavioral; Torsello Marco Donato 0000587966

Full-Adder ad 8 bit Schematico VHDL Torsello Marco Donato 0000587966 library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity FullAdder8 is Port ( A : in STD_LOGIC_VECTOR (7 downto 0); B : in STD_LOGIC_VECTOR (7 downto 0); S : out STD_LOGIC_VECTOR (7 downto 0)); end FullAdder8; architecture Behavioral of FullAdder8 is signal CTMP : std_logic_vector (7 downto 1):="0000000"; begin Inst_FullAdder_1: entity work.FullAdder PORT MAP( A => A(0), B => B(0), C => '0',--In questo primo FullAdder non bisogno di mettere nessun ingresso perchè non mi serve avere un carry in S => S(0), COUT => CTMP(1) ); Inst_FullAdder_2: entity work.FullAdder PORT MAP( A => A(1), B => B(1), C => CTMP(1), S => S(1), COUT => CTMP(2) Inst_FullAdder_3: entity work.FullAdder PORT MAP( A => A(2), B => B(2), C => CTMP(2), S => S(2), COUT => CTMP(3) Inst_FullAdder_4: entity work.FullAdder PORT MAP( A => A(3), B => B(3), C => CTMP(3), S => S(3), COUT => CTMP(4) Inst_FullAdder_5: entity work.FullAdder PORT MAP( A => A(4), B => B(4), C => CTMP(4), S => S(4), COUT => CTMP(5) Inst_FullAdder_6: entity work.FullAdder PORT MAP( A => A(5), B => B(5), C => CTMP(5), S => S(5), COUT => CTMP(6) Inst_FullAdder_7: entity work.FullAdder PORT MAP( A => A(6), B => B(6), C => CTMP(6), S => S(6), COUT => CTMP(7) Inst_FullAdder_8: entity work.FullAdder PORT MAP(--In questo FA non ho bisogno di un carry out perchè ai fini della mia rete non serve A => A(7), B => B(7), C => CTMP(7), S => S(7) end Behavioral; Torsello Marco Donato 0000587966

FlipFlopD a 8 bit Schematico VHDL Torsello Marco Donato 0000587966

Schematico Risolutivo Torsello Marco Donato 0000587966

Questa sezione della rete si occupa di impedire di superare il limite imposto di 50 passeggeri, anche se da specifiche questa situazione non dovrebbe mai verificarsi. Con lo stesso sistema si potrebbe realizzare una rete che non permetta di scendere sotto zero. Torsello Marco Donato 0000587966

VHDL Risolutivo Torsello Marco Donato 0000587966

Torsello Marco Donato 0000587966

Torsello Marco Donato 0000587966

Codice Simulazione Torsello Marco Donato 0000587966

Torsello Marco Donato 0000587966

Simulazione Behavioral Schematico Torsello Marco Donato 0000587966

Simulazione Post-Route Schematico Torsello Marco Donato 0000587966

Simulazione Behavioral VHDL Torsello Marco Donato 0000587966

Simulazione Post-Route VHDL Torsello Marco Donato 0000587966