Diagramma degli stati che descrive il comportamento della rete.

Slides:



Advertisements
Presentazioni simili
Aritmetica Binaria
Advertisements

MULTIVIBRATORI BISTABILI
Espressioni generali e MULTIPLEXER.
Simulazione del calcolo di due numeri binari
Cassaforte asincrona SR
Circuiti Aritmetico-Logici
Algebra di Boole e Funzioni Binarie
(sommario delle lezioni in fondo alla pagina)
Circuiti Sequenziali Asincroni
Circuiti sequenziali sincroni
Cap. II. Funzioni Logiche
Sintesi FSM – Prima parte
Circuiti di memorizzazione elementari: i Flip Flop
Algoritmi Paralleli e Distribuiti a.a. 2008/09 Lezione del 06/03/2009 Prof. ssa ROSSELLA PETRESCHI a cura del Dott. SAVERIO CAMINITI.
Sintesi dei circuiti sequenziali
27+ 12= Risultato troppo grande = = 39 = -25 errore di overflow in binario =
ANALOGICO-DIGITALI (ADC) DIGITALE-ANALOGICI (DAC)
Università degli Studi di Bergamo Facoltà di Lingue e Letterature Straniere Facoltà di Lettere e Filosofia A.A Informatica generale 1 Appunti.
A.S.E.18.1 ARCHITETTURA DEI SISTEMI ELETTRONICI LEZIONE N° 18 Reti sequenzialiReti sequenziali Tecniche di descrizioneTecniche di descrizione –Tabella.
A.S.E.13.1 ARCHITETTURA DEI SISTEMI ELETTRONICI LEZIONE N° 13 Somma e differenza di due numeri in C2Somma e differenza di due numeri in C2 Half AdderHalf.
A.S.E.6.1 ARCHITETTURA DEI SISTEMI ELETTRONICI LEZIONE N° 6 Complemento a MComplemento a M Rappresentazione di numeri con segnoRappresentazione di numeri.
ARCHITETTURA DEI SISTEMI ELETTRONICI
Automi Cellulari Automi Cellulari Binari Unidimensionali con r>1
Algoritmi e strutture dati
Flip-flop e Registri.
Codici binari decimali
Algebra di Boole.
Lezione 5. Ricapitolando…. Sistemi P2P puri Sistemi UniformiSistemi Non uniformi Abbiamo detto abbastanza KoordeNeighbor of Neighbor routing (NON)
Ricapitolando…. Sistemi P2P puri Sistemi UniformiSistemi Non uniformi Abbiamo detto abbastanza KoordeNeighbor of Neighbor routing (NON)
Lezione 5 Domande: Laverage path length di Chord con 2^b identificatori e N=2^b nodi è (giustificare la risposta) Laverage path length di Chord con 2^b.
La conversione analogico-digitale, campionamento e quantizzazione
Semantica per formule di un linguaggio proposizionale p.9 della dispensa.
Unità Didattica 1 Algoritmi
L'algebra di Boole e le sue applicazioni
Reti Logiche Reti Logiche Corso di Architetture degli Elaboratori.
Algebra di Boole e Funzioni Binarie
Intelligenza Artificiale
Esempi di Automi a stati Finiti
Cos’è una sequenza? Una sequenza è una successione finita di valori, dove ogni valore ha una durata prefissata e costante (T). I valori della sequenza.
Progetto RSA Analisi di un progetto di una rete per la direzione dei veicoli negli opportuni parcheggi in base alla loro lunghezza Cappa Francesca
Rete Asincrona Una rete sequenziale asincrona è dotata di due ingressi E, X e di una uscita Z. L'uscita Z deve diventare 1 solamente quando durante l'ultima.
Progetto Rete Sequenziale Asincrona
Introduzione.
Una rete sequenziale asincrona è dotata di due
PROGETTO 1 Un lettore di musica digitale è dotato di un sistema per la regolazione del volume composto da tre pulsanti + e – e [] e progettato in modo.
Una macchina sequenziale asincrona ha due ingressi x1, x2 e un'uscita z. Gli ingressi non cambiano mai di valore contemporaneamente. L'uscita assume il.
Cassaforte Asincrona di Mealy
ARITMETICA BINARIA.
Progetto VHDL: Esempio 1 Reti Asincrone
Puntatori e gestione dinamica della memoria
Progetto Asincrono Schematico.
Le istruzioni dell’ 8086 Classe 3.
Sistema di regolazione del volume Il progetto consiste nella sintesi e nella realizzazione attraverso Xilinx di un sistema per la regolazione del volume,
RETE ASINCRONA Una rete sequenziale asincrona prende in ingresso due segnali X2 e X1 emessi da un telecomando e, in base alle combinazioni successive di.
Diagramma degli stati primitivo (Mealy)
Rete sequenziale asincrona.
Riassunto Rete Vi sono dunque due ingressi (X1,X2) e ununica uscita; X1 e X2 non cambiano mai contemporaneamente Luscita va a 1 se viene rispettata la.
Diagramma degli stati Tabella degli stati Stati compatibili Le classi di compatibilità che soddisfano copertura e chiusura sono: [A, C] - α [B, G] -
Specifiche di Sistema.
In una macchina automatica vengono utilizzati due segnali binari X1,
AND AND negazione Corrisponde alla dell’operazione AND
Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale.
Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale.
Cassaforte asincrona II assegnamento. Descrizione Il progetto Cassaforte II assegnamento consiste in una codifica diversa delle variabili di stato. Codifica.
Introduzione Il progetto Cassaforte II assegnamento consiste in una diversa codifica delle variabili di stato. Ci si chiede se questo possa influenzare.
Una rete sequenziale asincrona ha due ingressi C,X e un’uscita Z. I segnali C,X non cambiano mai di valore contemporaneamente. Il segnale C è periodico;
Teoria dei sistemi Autore: LUCA ORRU'.
Chapter 5 - Part 2 1 Procedura di sintesi  Specifiche  Formulazione – Ricavare un diagramma o una tabella di stato  Assegnazione della codifica di stato.
Tecniche di progettazione Fault Tolerant
Risoluzione di Problemi con gli algoritmi Ricorsivi
Transcript della presentazione:

Diagramma degli stati che descrive il comportamento della rete

A B ---- D A B C E C D F G D F E C F H D H G I A H G A L I B L I ABCDEFGHILABCDEFGHIL P Q Tabella degli stati derivabile dallo schema. Gli stati A,B,I,L fanno parte dello stato '0' della rete; gli stati C, D, E, F dello stato '1' e, infine, gli stati G,H dello stato '2'. Tramite un'opportuna tabella è possibile arrivare a determinare le coppie di state compatibili: AB, CE, FD, IL, GH.

Associo ad ogni coppia di stati compatibili un nome e una codifica binaria, poi li rappresento tramite tabella di transizione. Inoltre elimino tutte le possibili corse critiche. AB α IL β FD γ EC δ GH ε Y3 y2 y1

Dalla tabella è possibile sintetizzare le 3 variabili di stato e le uscite p e q: P <= (y3)!RES Q <= (!y3y2)!RES y3 <= (y2y1b + by3 + y3!y1!y2a)!RES y2 <= (!y3y2 + !y1!y3a)!RES y1 <= (!by2 + y2y1 + a!y2y1!y3 + y3a!b)!RES Ho inoltre aggiunto a tutti i segnali sintetizzati tramite sintesi diretta un segnale di reset, in modo che quando asserito porti tutte le variabili di stato e le uscite a 0; in altre parole inizializza la rete allo stato '0'.

Codice vhdl usato per risolvere l'esercizio, altro non è che la traduzione in vhdl della sintesi ottenuta attraverso la tabella delle transizioni.

Test Bench per testare il funzionamento della rete: Resetto inizialmente la rete tramite il segnale RES. La faccio passare attraverso le varie fasi di funzionamento.

Simulazione Behavioral, possiamo vedere chiaramente il passaggio fra le 3 fasi caratterizzate dalle uscite 00, 01 e 10.

Simulazione Timing, il comportamento della rete rimane identico, sono solamente presenti dei minimi ritardi nella variazione delle uscite.