Progetto VHDL: Esempio 1 Reti Asincrone

Slides:



Advertisements
Presentazioni simili
Aritmetica Binaria
Advertisements

MULTIVIBRATORI BISTABILI
STRUTTURA DI.
Fenomeni transitori: alee
Dalla macchina alla rete
Esercizi e applicazioni di
Simulazione del calcolo di due numeri binari
Cassaforte asincrona SR
Cassaforte asincrona con retroazioni dei FF SR La Cassaforte asincrona è una rete che una volta ricevuti in ingresso le combinazioni , invia un.
Circuiti Sequenziali Asincroni
Circuiti sequenziali sincroni
Esempi di progetto di circuiti seq. asincroni
Calcolatori Elettronici Parte IV
Reti Logiche Luciano Gualà home page
Sintesi FSM – Prima parte
Circuiti di memorizzazione elementari: i Flip Flop
Sintesi dei circuiti sequenziali
27+ 12= Risultato troppo grande = = 39 = -25 errore di overflow in binario =
Autronica LEZIONE N° 15 Reti sequenziali, concetto di memoria, anelli di reazione Esempio, Flip-Flop R-S Tecniche di descrizione Grafo orientato Diagramma.
ARCHITETTURA DEI SISTEMI ELETTRONICI
A.S.E.18.1 ARCHITETTURA DEI SISTEMI ELETTRONICI LEZIONE N° 18 Reti sequenzialiReti sequenziali Tecniche di descrizioneTecniche di descrizione –Tabella.
ARCHITETTURA DEI SISTEMI ELETTRONICI
ARCHITETTURA DEI SISTEMI ELETTRONICI
I CODICI.
Flip-flop e Registri.
Reti combinatorie: moduli di base
Codici binari decimali
Dalla macchina alla rete: reti LLC
La conversione analogico-digitale, campionamento e quantizzazione
Reti Logiche Luciano Gualà
Reti Logiche Reti Logiche Corso di Architetture degli Elaboratori.
Algebra di Boole e Funzioni Binarie
Cos’è una sequenza? Una sequenza è una successione finita di valori, dove ogni valore ha una durata prefissata e costante (T). I valori della sequenza.
Progetto RSA Analisi di un progetto di una rete per la direzione dei veicoli negli opportuni parcheggi in base alla loro lunghezza Cappa Francesca
Progetto RSS Creazione di una rete per gestire lo spegnimento di macchine in caso di blackout.
Un magazzino della frutta dispone di una pompa di calore per il mantenimento del clima entro un intervallo di temperatura. Una condizione termica critica.
Rete Asincrona Una rete sequenziale asincrona è dotata di due ingressi E, X e di una uscita Z. L'uscita Z deve diventare 1 solamente quando durante l'ultima.
Di Ilaria Bertoletti Progetto Asincrono Esame Reti Logiche 30/01/13.
Progetto Rete Sequenziale Asincrona
Introduzione.
TIRO AL BERSAGLIO Di Ilaria Bertoletti Progetto Sincrono esame Reti logiche 30/01/13.
Una rete sequenziale asincrona è dotata di due
PROGETTO 1 Un lettore di musica digitale è dotato di un sistema per la regolazione del volume composto da tre pulsanti + e – e [] e progettato in modo.
Una macchina sequenziale asincrona ha due ingressi x1, x2 e un'uscita z. Gli ingressi non cambiano mai di valore contemporaneamente. L'uscita assume il.
Diagramma degli stati che descrive il comportamento della rete.
FlipFlop D Asincrono Il FlipFlop D è una rete sequenziale asincrona che si comporta da memoria. E' caratterizzato, nella versione più semplice, da 2 ingressi.
Cassaforte Asincrona di Mealy
FlipFlop D Asincrono Il FlipFlop D è una rete sequenziale asincrona che si comporta da memoria. E' caratterizzato, nella versione più semplice, da 2 ingressi.
Cella Elementare Il FullAdder 8bit è costituito essenzialmente da 8 celle elementari disposte a cascata. Questa organizzazione è dovuta al fatto che la.
Progetto Asincrono Schematico.
Reti combinatorie: moduli di base
Sistema di regolazione del volume Il progetto consiste nella sintesi e nella realizzazione attraverso Xilinx di un sistema per la regolazione del volume,
RETE ASINCRONA Una rete sequenziale asincrona prende in ingresso due segnali X2 e X1 emessi da un telecomando e, in base alle combinazioni successive di.
Diagramma degli stati primitivo (Mealy)
Rete sequenziale asincrona.
Riassunto Rete Vi sono dunque due ingressi (X1,X2) e ununica uscita; X1 e X2 non cambiano mai contemporaneamente Luscita va a 1 se viene rispettata la.
Diagramma degli stati Tabella degli stati Stati compatibili Le classi di compatibilità che soddisfano copertura e chiusura sono: [A, C] - α [B, G] -
Specifiche di Sistema.
In una macchina automatica vengono utilizzati due segnali binari X1,
Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale.
Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale.
Cassaforte asincrona II assegnamento. Descrizione Il progetto Cassaforte II assegnamento consiste in una codifica diversa delle variabili di stato. Codifica.
Introduzione Il progetto Cassaforte II assegnamento consiste in una diversa codifica delle variabili di stato. Ci si chiede se questo possa influenzare.
Testo dell’esercizio L’ascensore di un edificio a 2 piani utilizza 2 sensori S0, S1 per determinare la posizione della cabina passeggeri. Il segnale generato.
Una rete sequenziale asincrona ha due ingressi C,X e un’uscita Z. I segnali C,X non cambiano mai di valore contemporaneamente. Il segnale C è periodico;
Teoria dei sistemi Autore: LUCA ORRU'.
In una macchina automatica vengono utilizzati due segnali binari X1, X2 per verificare la corretta esecuzione di una certa attività su ciascun prodotto.
Rappresentazione come schematico della seguente rete sequenziale asincrona: Una rete sequenziale asincrona, dotata di due ingressi X0, X1 e di un’uscita.
Politecnico di MilanoC.Brandolese, F.Salice Sintesi FSM – Prima parte Calcolatori Elettronici.
Una rete sequenziale asincrona, dotata di due ingressi X0, X1 e di un’uscita Z, deve stabilire se si verifica prima un fronte di salita di X0 o un fronte.
Transcript della presentazione:

Progetto VHDL: Esempio 1 Reti Asincrone Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale d’uscita Z può modificare il suo valore solo quando si verifica o un fronte di salita di X1 o un fronte di salita di X2: nel primo caso si deve avere Z=1, nel secondo Z=0.

Diagramma degli stati primitivo

Tabella degli Stati X1/X2 00 01 11 10 Z A E - B H C 1 D F G H C 1 D F G X sono gli stati stabili

Tabella triangolare delle implicazioni Classi Massime di Compatibilità [AE] a [BH] b [CD] c [FG] d B C BD D ---- E CF BD CF F G H EG A

Rete risultante 00 01 11 10 a d b c 1 00,01 10, 00 10 b a 01 11 c 1 00,01 10, 00 10 b a 01 11 Questa rete può avere il rischio di corse critiche in quanto ci sono due stati stabili per ogni colonna! 11 01,00 10,00 c d 11,10 01,11

Codifica ridondante X1/X2 Y3/Y2/Y1 00 01 11 10 Z a 000 010 001 b 100 1 b 100 1 e 011 - d c f 101 g 111 h 110 Essendoci due stati stabili per ogni colonna c’è ancora il rischio di corse critiche.

Eliminazione corse critiche X1/X2 00 01 11 10 Z a 000 010 001 b 101 1 011 - d c 100 111 110 Y3/Y2/Y1

X1/X2 Y2/Y1 00 01 11 10 a 000 010 001 b 101 - d 011 Y2/Y1 Y3 = 0 Y3 00 01 11 10 1 - X1/X2 Y2/Y1 00 01 11 10 c 000 100 - Y3 = 1 Z = Y1 + Y2 Y1=X1!X2!Y3+X1Y1!Y3+!X2Y1+!X2Y2 Y2=Y2!Y1+X1X2!Y1!Y3 Y3=X1X2Y1+X1Y3

Codice VHDL parte 1 (Main)

Codice VHDL parte 2 (Testbench)

Simulazione Behavioral Fronte di salita di X1 che porta a 1 l’uscita Fronte di salita di X2 che porta a 0 l’uscita

Simulazione Post Route La simulazione Post Route mostra come le uscite vengano ritardate di un piccolo intervallo di tempo dovuto alle implementazioni fisiche (componenti fisici) utilizzate.