Diagramma degli stati primitivo (Mealy)

Slides:



Advertisements
Presentazioni simili
MULTIVIBRATORI BISTABILI
Advertisements

Dalla macchina alla rete
Capitolo 4 Logica sequenziale
D. Menasce1 Queste trasparenze sono disponibili sul sito web dellautore: (selezionare lopzione COURSES) Queste.
Elaborazione dei segnali mediante circuiti analogici o digitali.
Cassaforte asincrona SR
Cassaforte asincrona con retroazioni dei FF SR La Cassaforte asincrona è una rete che una volta ricevuti in ingresso le combinazioni , invia un.
Algebra di Boole e Funzioni Binarie
(sommario delle lezioni in fondo alla pagina)
Circuiti Sequenziali Asincroni
Circuiti sequenziali sincroni
Esempi di progetto di circuiti seq. asincroni
Cap. II. Funzioni Logiche
Corso C Porte logiche.
Corso C Porte logiche.
Algebra di Boole.
Esercitazioni su circuiti combinatori
Circuiti di memorizzazione elementari: i Flip Flop
ARCHITETTURA DEI SISTEMI ELETTRONICI
Flip-flop e Registri.
Dalla macchina alla rete: reti LLC
L'algebra di Boole e le sue applicazioni
Indice: L’algebra di Boole Applicazione dell’algebra di Boole
Display a 7 segmenti Il display a 7 segmenti è un dispositivo composto da 7 diodi luminosi LED (Light-Emitting Diode) sagomati a forma di rettangolo o.
PRESENTAZIONE DEGLI ARGOMENTI: SIMBOLI GRAFICI E RELATIVE OPERAZIONI LOGICHE TABELLE DI VERITA INTEGRATI DIGITALI DELLE FAMIGLIE TTL E CMOS E LORO RICONOSCIMENTO.
Esempi di Automi a stati Finiti
Progetto RSA Analisi di un progetto di una rete per la direzione dei veicoli negli opportuni parcheggi in base alla loro lunghezza Cappa Francesca
Rete Asincrona Una rete sequenziale asincrona è dotata di due ingressi E, X e di una uscita Z. L'uscita Z deve diventare 1 solamente quando durante l'ultima.
Di Ilaria Bertoletti Progetto Asincrono Esame Reti Logiche 30/01/13.
Progetto Rete Sequenziale Asincrona
Introduzione.
TIRO AL BERSAGLIO Di Ilaria Bertoletti Progetto Sincrono esame Reti logiche 30/01/13.
Una rete sequenziale asincrona è dotata di due
PROGETTO 1 Un lettore di musica digitale è dotato di un sistema per la regolazione del volume composto da tre pulsanti + e – e [] e progettato in modo.
Una macchina sequenziale asincrona ha due ingressi x1, x2 e un'uscita z. Gli ingressi non cambiano mai di valore contemporaneamente. L'uscita assume il.
Diagramma degli stati che descrive il comportamento della rete.
FlipFlop D Asincrono Il FlipFlop D è una rete sequenziale asincrona che si comporta da memoria. E' caratterizzato, nella versione più semplice, da 2 ingressi.
Cassaforte Asincrona di Mealy
FlipFlop D Asincrono Il FlipFlop D è una rete sequenziale asincrona che si comporta da memoria. E' caratterizzato, nella versione più semplice, da 2 ingressi.
Relatore: Prof. Carla VACCHI Correlatore: Ing. Daniele SCARPA
Progetto VHDL: Esempio 1 Reti Asincrone
Progetto Asincrono Schematico.
Sistema di regolazione del volume Il progetto consiste nella sintesi e nella realizzazione attraverso Xilinx di un sistema per la regolazione del volume,
RETE ASINCRONA Una rete sequenziale asincrona prende in ingresso due segnali X2 e X1 emessi da un telecomando e, in base alle combinazioni successive di.
Rete sequenziale asincrona.
Riassunto Rete Vi sono dunque due ingressi (X1,X2) e ununica uscita; X1 e X2 non cambiano mai contemporaneamente Luscita va a 1 se viene rispettata la.
Diagramma degli stati Tabella degli stati Stati compatibili Le classi di compatibilità che soddisfano copertura e chiusura sono: [A, C] - α [B, G] -
Specifiche di Sistema.
In una macchina automatica vengono utilizzati due segnali binari X1,
Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale.
Una rete sequenziale asincrona è dotata di due ingressi X1, X2 e di un’uscita Z. I segnali X2 e X1 non cambiano mai di valore contemporaneamente. Il segnale.
Cassaforte asincrona II assegnamento. Descrizione Il progetto Cassaforte II assegnamento consiste in una codifica diversa delle variabili di stato. Codifica.
Introduzione Il progetto Cassaforte II assegnamento consiste in una diversa codifica delle variabili di stato. Ci si chiede se questo possa influenzare.
Testo dell’esercizio L’ascensore di un edificio a 2 piani utilizza 2 sensori S0, S1 per determinare la posizione della cabina passeggeri. Il segnale generato.
ELETTRONICA GEORGE BOOLE FUNZIONI LOGICHE Lezione N° 1
L’azienda di packaging ‘’Choco-Pack’’ dispone di un macchinario per l'impacchettamento di cioccolatini in scatole da 8 pezzi. Per variare l'offerta sul.
Una rete sequenziale asincrona ha due ingressi C,X e un’uscita Z. I segnali C,X non cambiano mai di valore contemporaneamente. Il segnale C è periodico;
Informatica 3 V anno.
LATCH. Circuiti Sequenziali I circuiti sequenziali sono circuiti in cui lo stato di uscita del sistema dipende non soltanto dallo stato di ingresso presente.
Algebra di Boole.
Algebra di Boole L’algebra di Boole è un formalismo che opera su variabili (dette variabili booleane o variabili logiche o asserzioni) che possono assumere.
Rappresentazione in virgola mobile (floating-point) Permette di rappresentare numeri con ordini di grandezza molto differenti utilizzando per la rappresentazione.
Una ditta specializzata in confezionamenti di ananas costruisce una rete logica per ottimizzare la propria produzione. La rete è formata da un nastro trasportatore,
In una macchina automatica vengono utilizzati due segnali binari X1, X2 per verificare la corretta esecuzione di una certa attività su ciascun prodotto.
ELETTRONICA DIGITALE – circuiti sequenziali
Rappresentazione come schematico della seguente rete sequenziale asincrona: Una rete sequenziale asincrona, dotata di due ingressi X0, X1 e di un’uscita.
Una rete sequenziale asincrona, dotata di due ingressi X0, X1 e di un’uscita Z, deve stabilire se si verifica prima un fronte di salita di X0 o un fronte.
“ Pseudocodice ” Un programma per computer lavorerà su in insieme di “ variabili ” contenenti i dati del problema, soluzioni intermedie, soluzioni finali.
ELETTRONICA DIGITALE – circuiti sequenziali
Laboratorio di Architettura Degli Elaboratori1 Macchine a stati finiti – un automa di Mealy generale con 1 bit d’ingresso, 1 di uscita e 2 di stato.
Transcript della presentazione:

Diagramma degli stati primitivo (Mealy) SE,P

Tabella degli stati primitiva / Ricerca stati compatibili SE 00 01 11 10 A A,0 -,- B,0 B C,- G,0 C C,1 D,1 D E,1 E F,1 F G,- G H,0 H B AC C X -- D CE E F G H A Classi massime di compatibilità che soddisfano copertura e chiusura: [A,G,H] => α [B] => β [C,D,E,F] => γ

Assegnazione. Tabella transizioni ridotta 00 01 11 10 A A,0 -,- B,0 B C,- G,0 C C,1 D,1 D E,1 E F,1 F G,- G H,0 H SE SE 00 01 11 10 α α,0 β,0 β γ,- -,- γ γ,1 α,- y1 y2 00 01 11 10 00,0 01,0 11,- --,- 11,1 00,- È presente una corsa critica perché c’è un cambiamento contemporaneo di 2 variabili di stato SE y1 y2 00 01 11 10 00,0 01,0 11,- --,- 11,1 10,- 00,- y1 = !Sy2 + y1y2 y2 = S!E + !Sy2 P = y1 Inserita una transizione per permettere il cambiamento di una variabile di stato alla volta e assicurare un corretto funzionamento del progetto

Schematico Xilinx y1 = !Sy2 + y1y2 y2 = S!E + !Sy2 P = y1

Schematico Xilinx – Circuito a NOR Ho realizzato lo stesso circuito utilizzando soltanto porte NOR (porta UNIVERSALE come NAND): dopo aver convertito ogni porta logica, ho semplificato al meglio il circuito. Ho anche verificato che, in questo caso, il circuito con soli NOR è «più conveniente» di un circuito con soli NAND. Questa conversione è molto utile perché si può risparmiare sul numero di circuiti integrati, dato che ogni circuito integrato è composto da sole porte dello stesso tipo. È in ogni caso molto utile perché non ho bisogno di avere più tipi di porte: posso costruire il mio circuito utilizzando «un solo tipo di mattone».

Simulazione Post-Route La simulazione, tramite Testbench VHDL, prevede che vengano dati «10 pesci in ingresso» al sistema di dimensioni grandi o piccole, attraverso un algoritmo di generazione pseudo-casuale (nel test VHDL viene utilizzata la libreria «ieee.math_real»). Si può vedere dal grafico che, non appena il circuito è in grado di stabilire la dimensione del pesce che sta passando sul nastro trasportatore (e questo avviene subito dopo che la configurazione passa da SE = «10» allo stato successivo), questo commuta il prima possibile, e ragionevolmente, lo stato di P (il segnale che gestisce il deviatore pilotato). Come si può osservare, al passaggio di un pesce piccolo (SE = «10»  SE = «00») l’uscita commuta in P = ‘1’, altrimenti, al passaggio di un pesce grande (di lunghezza >= L; SE = «10»  SE = «11») l’uscita P va a ‘0’.