La presentazione è in caricamento. Aspetta per favore

La presentazione è in caricamento. Aspetta per favore

Progetto Rete Sequenziale Asincrona

Presentazioni simili


Presentazione sul tema: "Progetto Rete Sequenziale Asincrona"— Transcript della presentazione:

1 Progetto Rete Sequenziale Asincrona
Torsello Marco Donato

2 Testo Si vuole realizzare una rete sequenziale asincrona che controlli il traffico all'interno di un tunnel stradale molto stretto. In particolare, il (suddetto) tunnel è percorribile in un solo verso e da un solo automezzo per volta. Si vuole conoscere il verso in cui il mezzo transita e perciò sono posizionati due sensori, sia all'ingresso, sia all'uscita della galleria. Questi sensori sono ad una distanza maggiore del mezzo più lungo che può attraversare il tunnel. Si realizzi quindi una rete asincrona che permetta di conoscere se il tunnel è libero oppure occupato ed in che verso è percorso dal mezzo. Gli ingressi della rete sono composti da due sensori (S1 e S2) i quali si attivano nel momento in cui vengono attraversati. Le uscite sono V1, V2 e L. In particolare: - V1 sarà attivo se il tunnel è percorso passando prima dalla posizione del sensore 1; - V2 viene attivato nel caso duale; - L è attivo se il tunnel è libero. Verso 1 Sensore1 Sensore2 Verso 2 Torsello Marco Donato

3 Diagramma degli stati 00 A,001 10 00 01 00 G,010 F,100 10 01 10 01
C,010 B,100 D,100 00 00 00 00 01 10 Torsello Marco Donato

4 Tabella degli stati Ingressi(S1,S2) Uscite 0,0 0,1 1,1 1,0 V1 V2 L
- B 1 D E F G Torsello Marco Donato

5 Tabella Triangolare B C D - E F DA G EA A
Classi di compatibilità così ottenute: α=[A] ,β=[BD],γ=[CE],δ=[F],ε=[G] Torsello Marco Donato

6 Assegnazione 00 01 11 10 γ α - 100 β δ 001 ε 010 00 01 11 10 000 011 - 100 001 010 110 Decido di assegnare ad α lo stato 011 per evitare possibili corse Devo risolvere le due corse(in rosso) Torsello Marco Donato

7 Risoluzione Corse 00 01 11 10 000 100 - 001 011 010 110 111 101 Torsello Marco Donato

8 Sintesi uscite 00 01 11 10 000 100 - 001 011 010 110 111 101 L=!y2y1y0 V1=!y2!y1 V2=y1!yo Torsello Marco Donato

9 Sintesi Variabili di stato
Y2=0 Y2=1 00 01 11 10 100 000 - 101 001 111 011 010 110 Y2=!S2!Y1!Y0+Y2!Y1+Y2!Y0+S1!Y0 Y1=!S1Y1+Y1!Y0+Y2Y0 Y0=Y0!S2+Y2!S1 Torsello Marco Donato

10 Realizzazione VHDL Torsello Marco Donato

11 Realizzazione a Schematico
Torsello Marco Donato

12 Test Torsello Marco Donato

13 Simulazione Behavioral VHDL
Un mezzo attraversa il tunnel da sinistra a destra Un mezzo attraversa il tunnel da destra a sinistra Torsello Marco Donato

14 Simulazione Post-Route VHDL
Ritardi per raggiungere lo stato voluto causati dalle transizioni multiple Torsello Marco Donato

15 Simulazione Behavioral Schematico
Un mezzo attraversa il tunnel da sinistra a destra Un mezzo attraversa il tunnel da destra a sinistra Torsello Marco Donato

16 Simulazione Post-Route Schematico
Ritardi per raggiungere lo stato voluto causati dalle transizioni multiple Torsello Marco Donato


Scaricare ppt "Progetto Rete Sequenziale Asincrona"

Presentazioni simili


Annunci Google