La presentazione è in caricamento. Aspetta per favore

La presentazione è in caricamento. Aspetta per favore

Progetto di una memoria cache per il processore DLX Andrea Grandi Filippo Malaguti Massimiliano Mattetti Gabriele Morlini Thomas Ricci Progetto di Calcolatori.

Presentazioni simili


Presentazione sul tema: "Progetto di una memoria cache per il processore DLX Andrea Grandi Filippo Malaguti Massimiliano Mattetti Gabriele Morlini Thomas Ricci Progetto di Calcolatori."— Transcript della presentazione:

1 Progetto di una memoria cache per il processore DLX Andrea Grandi Filippo Malaguti Massimiliano Mattetti Gabriele Morlini Thomas Ricci Progetto di Calcolatori Elettronici M

2 Caratteristiche della cache caratteristiche generalli cache

3 Obiettivi Realizzazione cache Integrazione DLX Testbench Block RAM

4 Strutture dati VHDL strutture dati, parametri, ecc..

5 Struttura interfaccia interfaccia verso l'esterno

6 Implementazione schema interno cache

7 Processi interni tutti e quattro

8 Comunicazione tra processi segnali interni

9 Integrazione integrazione cache con DLX

10 Integrazione 2 integrazione cache con DLX

11 Testbench Testbench del componente : 1.Cache_test_ReadAndReplacement.vhd 2.Cache_test_ReadAndWrite.vhd 3.Cache_test_Snoop.vhd Testbench integrazione con processore DLX: 1.ProvaReplacement123 : verifica comunicazione tra cache e DLX e del meccanismo di rimpiazzamento. 2.ProvaFU: Forwarding Unit e Alee di Dato.

12 Cache_test_ReadAndReplacement.vhd TagIndexReplacement Linea invalidata (MESI_I) Fase 1: inizializzazione. Fase 2: invalidazione di una linea. Fase 3: Verifica funzionamento meccanismo Replacement, mediante contatori:

13 Cache_test_ReadAndWrite.vhd Fase 1: inizializzazione: una linea in MESI_S. Fase 2: scritture: MESI_S

14 Cache_test_Snoop.vhd Dopo aver inizializzato la cache…

15 ProvaReplacement123 Obiettivo: Testare la corretta comunicazione tra lo stadio di MEM e la CACHE e il meccanismo di rimpiazzamento, Codice Assembler: X"20010000", --l1: addi r1,r0,0 ; X"20020001", --l2: addi r2,r0,1 ; X"AC220000", --l3: sw 0(r1),r2 ; X"20420001", --l4: addi r2,r2,1 ; X"AC220100", --l5: sw 16#100(r1),r2 ; X"20420001", --l6: addi r2,r2,1 ; X"AC220080", --l7: sw 16#80(r1),r2 ; X"8C220000", --l8: lw r2,0(r1) ; X"20210004", --l9: addi r1,r1,4 ; X"0BFFFFE0", --l10: j l3 ; X"FFFFFFFF", --NOP l3: 0+0= 00 0000 0000 l5: 100+0= 01 0000 0000 l7: 80+0= 00 1000 0000 l8: 0+0= 00 0000 0000

16 ProvaReplacement123 l3: 0+0= 00 0000 0000 l5: 100+0= 01 0000 0000 l7: 80+0= 00 1000 0000 l8: 0+0= 00 0000 0000

17 Obiettivo: Testare il funzionamento della Forwarding Unit in caso di Alea di dato, Codice Assembler: X"20420001", --l1: addi r2,r2,1 ; X"AC220000", --l2: sw 0(r1),r2 ; X"8C230000", --l3: lw r3,0(r1) ; X"20620001", --l4: addi r2,r3,1 ; X"0BFFFFF0", --l5: j l2 ; X"FFFFFFFF", --NOP ProvaFU (Forwarding Unit) l4: addi r2,r3,1 l3: lw r3,0(r1) Alea di Dato!! lw r3,0(r1) ; addi r2,r3,1 ;

18 Block Ram Funzionamento, componente di prova, eventuale integrazione nel progetto


Scaricare ppt "Progetto di una memoria cache per il processore DLX Andrea Grandi Filippo Malaguti Massimiliano Mattetti Gabriele Morlini Thomas Ricci Progetto di Calcolatori."

Presentazioni simili


Annunci Google